您好,欢迎来到维库电子市场网 登录 | 免费注册

SoC芯片
阅读:15788时间:2011-04-17 17:39:16

  SoC芯片也称为系统级芯片,英文全称System On a Chip,中文翻译为片上系统。就是指在单一硅芯片上实现一个系统所具有的信号采集、转换、存储、处理和输入、输出(I/O)等功能的电路。其基本特征是内嵌CPU核和其它诸如DSP等核心IP、包括丰富的输入/输出(I/O)接口,和一定容量的存储器;采用硬件、软件协同设计方法,包括完整的操作系统和用户软件;具有强大的数据、图像传输和处理能力,以及高可靠、友好的用户界面。

SoC的定义

  SOC 有多种不同的定义,一般说来,SOC 是一种处理器基 IC,含有一个或数个嵌入式计算引擎(微处理器,微控制器或数字信号处理器);采用超深亚微米工艺技术;主要采用第三方的 IP核进行设计;内置嵌入式存储器和可编程逻辑;具备外部对芯片进行编程的功能;具有完整系统所必备的全部或大部外设。在某些场合,它还包括模拟前端,在同一芯片上含集成模拟和数字技术,增加了系统的复杂性。

设计方法

  传统设计流程可分为逻辑设计与物理实现两个相互独立阶段,包含以下几个步骤:系统规划、功能设计、逻辑设计、电路设计、设计验证、生产制作、测试调试,芯片的设计考虑较简单。设计人员要做的只是前端设计,如系统结构设计,进行前端模拟仿真并且向硅片供应商(SIC)提供网表。硅片供应商则负责后端设计,包括芯片的物理设计、封装、测试和成品率管理。

  然而随着系统集成度的不断提高以及终端用户需求的多样化,系统功能愈来愈复杂,如何确定系统结构并完成软硬件的划分,传统的系统设计方法已经不能很好的满足设计的需求。为了提高芯片的设计效率,缩短设计周期,系统设计需要新的设计理论体系和设计方法,以克服传统设计方法中前端设计和后端设计相互分离的弊病。这一新的设计理论和设计方法是以软硬件协同设计理论、IP核生成及复用技术和超深亚微米技术等为支撑的。

测试与验证

  SOC 开发中挑战性的是设计验证。开发一个或一套带有相应测试向量的测试台是很费时的。按要求对结果进行的仿真和分析不仅需要相当数量的计算资源,而且要对该设计行为有相当深入的了解。统计3资料显示,验证是产品开发中最耗时的一个方面,它需要的资源最多。提供 IP核的厂商和系统设计者都面临着严重的验证问题。厂商不仅要通过彻底检查内核的工作性能与物理特性以确保产品质量,而且还要预先考虑到系统级的功能。设计师必须开发功能级的测试方法,对器件的正确使用和错误使用两方面都进行仿真。开发仿真错误使用的有效测试方法更难,因为要预测误解和偶然错误需要仔细研究技术规范。

  除了验证,SOC 的测试也特别困难。需要新的测量功能和测试方法,以确保产品有足够的设计容限。这样就需要采用内建自测试(BIST)和边界扫描链结构。许多 IP 公司提供 BIST 结构和边界扫描 IP 以及自动软件工具以支持设计者采用这些技术。对于 BIST 方法,的优点是整体测试覆盖率,测试价格和测试时间。

构成

  从大处来分,SOC芯片含有:

  1.逻辑核包括CPU、时钟电路、定时器、中断控制器、串并行接口、其它外围设备、I/O端口以及用于各种IP核之间的粘合逻辑等等;

  2.存储器核包括各种易失、非易失以及Cacha等存储器;

  3.模拟核包括ADC、DAC、PLL以及一些高速电路中所用的模拟电路。

维库电子通,电子知识,一查百通!

已收录词条45649